基板上のボタンが押されている間だけLEDを点灯させる

説明

基板上のボタンが押されている間だけLEDを点灯させるにはBEGINとREPEAT、BTNとLEDを使います。LEDのパラメーターとしてBTNの値を渡すだけです。

目次に戻る